PDA

View Full Version : Shift Right, Left



tazntex
- 10th July 2010, 14:46
Good Morning,
This may sound like I have not looked in my PBP manual or searched this forum but I assure you I have before I ask this question. Perhaps it has not been processed through my my head correctly due to the fact that it is Saturday morning and I probably have only one brain cell on line:)

My question is referring to a 16f628a, on PortB, bits 0-7. If I say PortB >> 4 does this mean I am focusing on bits 4-7 or bits 0-3?

Thanks

mackrackit
- 10th July 2010, 15:15
I am feeling lazy so...
http://www.picbasic.co.uk/forum/showthread.php?t=66&p=203#post203

tazntex
- 10th July 2010, 15:28
Thanks for the link